Login

Membrey, Peter

Beginning FPGA: Programming Metal

Membrey, Peter - Beginning FPGA: Programming Metal, ebook

45,00€

Ebook, PDF with Adobe DRM
ISBN: 9781430262480
DRM Restrictions

PrintingNot allowed
Copy to clipboardNot allowed

Table of contents

Part I. Getting Started with FPGA

1. What Is an FPGA and What Can It Do?
Aiken Pang, Peter Membrey

2. Our Weapon of Choice
Aiken Pang, Peter Membrey

3. Lock and Load
Aiken Pang, Peter Membrey

4. Hello World!
Aiken Pang, Peter Membrey

Part II. Time Out for Theory

5. FPGA Development Timeline
Aiken Pang, Peter Membrey

6. VHDL 101
Aiken Pang, Peter Membrey

7. Number Theory for FPGAs
Aiken Pang, Peter Membrey

8. Telling the Truth: Boolean Algebra and Truth Tables
Aiken Pang, Peter Membrey

9. Simplifying Boolean Algebra for FPGA
Aiken Pang, Peter Membrey

10. Sequential Logic: IF This, THEN That
Aiken Pang, Peter Membrey

11. Combinatorial Logic: Putting It All Together on the FPGA
Aiken Pang, Peter Membrey

Part III. Let’s Make Something!

12. Light Sensors: Turning a Laser Pointer into a Hi-Tech Tripwire
Aiken Pang, Peter Membrey

13. Temperature Sensors: Is It Hot in Here, or Is It Just Me?
Aiken Pang, Peter Membrey

14. How Fast Can You Run? Ask the Accelerometer!
Aiken Pang, Peter Membrey

Part IV. Taking It Further: Talking to the Raspberry Pi and LED Displays

15. Two-Way Communications with Your Raspberry Pi: SPI
Aiken Pang, Peter Membrey

16. Up in Lights: How to Drive LED Segment Displays
Aiken Pang, Peter Membrey

Keywords: Computer Science, Computer Communication Networks, Computer Science, general

Author(s)
 
Publisher
Springer
Publication year
2017
Language
en
Edition
1
Page amount
15 pages
Category
Information Technology, Telecommunications
Format
Ebook
eISBN (PDF)
9781430262480
Printed ISBN
978-1-4302-6247-3

Similar titles